# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 4.4 Schreib-Lese-Speicher # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P4.4 #------------------------------------------------------------ # 4.4.1 SRAM # Simulationsmodell für einen asynchronen SRAM-Schaltkreis #------------------------------------------------------------ ghdl -a -P../Tuc ../P3.4/RAM_pack.vhdl ghdl -a -P../Tuc IS61.vhdl ghdl -a -P../Tuc Test_IS61.vhdl ghdl -m -P../Tuc Test_IS61 ghdl -r Test_IS61 --wave=Test_IS61.ghw gtkwave Test_IS61.ghw Test_IS61.sav # 4.4.3 Assoziativspeicher # Simulationsmodell für einen Assoziativspeicher #------------------------------------------------------------ ghdl -a -P../Tuc AsRAM_pack.vhdl ghdl -a -P../Tuc Test_AsRAM.vhdl ghdl -m -P../Tuc Test_AsRAM ghdl -r Test_AsRAM --wave=Test_AsRAM.ghw gtkwave Test_AsRAM.ghw Test_AsRAM.sav