# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 4.3 Speicherzellen, Latches und Register # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P4.3 #------------------------------------------------------------ # 4.3.6 Taktversorgung # Taktteiler #------------------------------------------------------------ ghdl -a -P../Tuc Taktteiler.vhdl ghdl -m -P../Tuc Taktteiler ghdl -r Taktteiler --wave=Taktteiler.ghw gtkwave Taktteiler.ghw Taktteiler.sav