# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 4.2 Zeitverhalten # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P4.2 #------------------------------------------------------------ 4.2.1 Zeitdiskrete Simulation # zeitdiskrete Simulation eines Ringinverters #------------------------------------------------------------ ghdl -a PhySim_pack.vhdl ghdl -a Inverter.vhdl ghdl -a Ringinverter.vhdl ghdl -m Ringinverter ghdl -r Ringinverter --wave=Ringinverter.ghw --stop-time=500ps gtkwave Ringinverter.ghw Ringinverter.sav # 4.2.5 Simulation mit geschalteten Transistorbreiten # Gattersimulation mit geschalteten Transistorbreiten #------------------------------------------------------------ ghdl -a -P../Tuc bRel_pack.vhdl ghdl -a -P../Tuc KG10T.vhdl ghdl -a -P../Tuc Test_KG10T.vhdl ghdl -m -P../Tuc Test_KG10T ghdl -r Test_KG10T --wave=KG10T.ghw gtkwave KG10T.ghw KG10T.sav # Der Testrahmen gibt für jede Eingabeänderung aus, über welche # Transistoren der Gatterausgang umgelden wird. Klammern bedeuten # möglicherweise. Das Testobjekt gibt für jede Eingabeänderung # die Bereiche der relativen Transistorbreite und die getätigten # Zuweisungen an das Ausgabesignal y auf den Bildschirm aus.