# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 4.1 Entwurf und Modellierung von CMOS-Gattern # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P4.1 #------------------------------------------------------------ # 4.1.3 Signale mit mehreren Quellen und der Typ std_logic # Modellierung des Ausgangs eines NOR-Gatters als Signal # mit zwei Quellen #------------------------------------------------------------ ghdl -a -P../Tuc NOR2nq.vhdl ghdl -a -P../Tuc Test_NOR2nq.vhdl ghdl -m -P../Tuc Test_NOR2nq ghdl -r Test_NOR2nq --wave=Test_NOR2nq.ghw gtkwave Test_NOR2nq.ghw Test_NOR2nq.sav # 4.1.9 Zusammenfassung und Übungsaufgaben # Aufgabe 4.1 (Auflösungsfunktion): #------------------------------------------------------------ ghdl -a tZ01_pack.vhdl ghdl -a -P../Tuc Test_tZ01.vhdl ghdl -m -P../Tuc Test_tZ01 ghdl -r Test_tZ01 --wave=Test_tZ01.ghw gtkwave Test_tZ01.ghw Test_tZ01.sav