# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 2.6 Weitere Rechenwerke # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P2.6 #------------------------------------------------------------ # 2.6.5 Dividierer # Dialogtest für der Divisionsalgorithmus #------------------------------------------------------------ ghdl -a -P../Tuc DivAlg.vhdl ghdl -m -P../Tuc DivAlg ghdl -r DivAlg # Test des seriellen Divisionsalgorithmus #------------------------------------------------------------ ghdl -a -P../Tuc SerDivAlg.vhdl ghdl -m -P../Tuc SerDivAlg ghdl -r SerDivAlg --wave=SerDivAlg.ghw gtkwave SerDivAlg.ghw SerDivAlg.sav # 2.6.9 Zusammenfassung und Übungsaufgaben # Aufgabe 2.20 und 2.22 (Multiplizierer für vorzeichenbehaftetet # Zahlen # Beide Beschreibungsversionen werden gleichzeitig simuliert #------------------------------------------------------------ # Analyse der Funktionsbeschreibung der Halb- und Volladdierer ghdl -a HA0.vhdl # Halbaddierer mit null negativ bewertetenen Eingängen ghdl -a HA1.vhdl # Halbaddierer mit einem negativ bewertetenen Eingang ghdl -a HA2.vhdl # Halbaddierer mit zwei negativ bewertetenen Eingängen ghdl -a VA0.vhdl # Volladdierer mit null negativ bewertetenen Eingängen ghdl -a VA1.vhdl # Volladdierer mit einem negativ bewertetenen Eingang ghdl -a VA2.vhdl # Volladdierer mit zwei negativ bewertetenen Eingängen # Ananlyse, Make und Simulation der beiden Multipliziererbeschreibungen ghdl -a HA0.vhdl ghdl -a VA0.vhdl ghdl -a HA1.vhdl ghdl -a VA1.vhdl ghdl -a HA2.vhdl ghdl -a VA2.vhdl ghdl -a -P../Tuc SignedMult.vhdl ghdl -a -P../Tuc Test_SignedMult.vhdl ghdl -m -P../Tuc Test_SignedMult ghdl -r Test_SignedMult # Aufgabe 2.21 #------------------------------------------------------------ ghdl -a -P../Tuc SerDiv.vhdl ghdl -a -P../Tuc Test_SerDiv.vhdl ghdl -m -P../Tuc Test_SerDiv ghdl -r Test_SerDiv --wave=SerDiv.ghw gtkwave SerDiv.ghw SerDiv.sav