# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 2.5 Addierer # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P2.5 #------------------------------------------------------------ # 2.5.1 Ripple-Addierer # Ripple-Addierers aus Abschn. 2.5.1 #------------------------------------------------------------ ghdl -a -P../Tuc RippleAdd.vhdl ghdl -m -P../Tuc RippleAdd ghdl -r RippleAdd # 2.5.2 Serieller Addierer # Seriellen Addierer # Die Datei SerAdd.vhdl enthält beide Beschreibungsversionen #------------------------------------------------------------ ghdl -a -P../Tuc SerAdd.vhdl ghdl -a -P../Tuc Test_SerAdd.vhdl ghdl -m -P../Tuc Test_SerAdd ghdl -r Test_SerAdd --wave=SerAdd.ghw gtkwave SerAdd.ghw SerAdd.sav # Hierarchischer Addierer #------------------------------------------------------------ ghdl -a -P../Tuc HiAdd_pack.vhdl ghdl -a -P../Tuc HiAdd.vhdl ghdl -m -P../Tuc HiAdd ghdl -r HiAdd