# G. Kemnitz: Technische Informatik # Band 2: Entwurf digitaler Schaltungen # Abschnitt 2.2 Schaltungsvereinfachung auf Basis der Schaltalgebra # # Hilfe zum Übersetzen und Ausführen mit ghdl # Die Bibliothek Tuc wird im Verzeichnis .../VHDL/Tuc erwartet # und das aktuelle Arbeitsverzeichnis sei ../VHDL/P2.2 #------------------------------------------------------------ # 2.2.4 KV-Diagramme # Vereinfachung der Schaltung eines 7-Segment-Decoders mit # KV-Diagrammen #------------------------------------------------------------ ghdl -a Seg7Dec.vhdl # Analyse der Entwurfseinheit ghdl -a -P../Tuc TestSeg7.vhdl # Analyse des Testrahmens ghdl -m -P../Tuc TestSeg7 # Simulationsobjekt erzeugen ghdl -r TestSeg7 # Simulation nur mit Textausgaben ghdl -r TestSeg7 --wave=TestSeg7.ghw # Simulation mit Aufzeichnung der Signalverläufe gtkwave TestSeg7.ghw TestSeg7.sav # Anzeige der Signalverläufe # Automatenentwurf mit KV-Diagrammen #------------------------------------------------------------ ghdl -a -P../Tuc Test_VRZ.vhdl # Analyse der Entwurfseinheit ghdl -m -P../Tuc Test_VRZ # Simulationsobjekt erzeugen ghdl -r Test_VRZ --wave=Test_VRZ.ghw # Simulation mit Aufzeichnung der Signalverläufe gtkwave Test_VRZ.ghw Test_VRZ.sav # Anzeige der Signalverläufe # 2.2.6 Zusammenfassung und Übungsaufgaben # Aufgabe 2.10 (7-Segment-Decoder, siehe oben) #------------------------------------------------------------ # Aufgabe 2.11 (Automatenentwurf #------------------------------------------------------------ ghdl -a -P../Tuc LsgAutomat.vhdl ghdl -m -P../Tuc LsgAutomat ghdl -r LsgAutomat # Gestartet mit dem Anfangszustand "000" durchläuft das # durch die logischen Gleichungen simulierte Automatenmodell # gesteuert durch die Eingabe alle Kanten. Simulationsausgabe: # s=000 x=0 s_next=010 y=1 # s=010 x=0 s_next=100 y=0 # s=100 x=0 s_next=111 y=1 # s=111 x=0 s_next=111 y=0 # s=111 x=1 s_next=100 y=0 # s=100 x=1 s_next=010 y=0 # s=010 x=1 s_next=011 y=0 # s=011 x=0 s_next=011 y=0 # s=011 x=1 s_next=000 y=0 # s=000 x=1 s_next=001 y=0 # s=001 x=0 s_next=001 y=1 # s=001 x=1 s_next=010 y=0 # Aufgabe 2.12 (Quine-McCluskey) #------------------------------------------------------------ ghdl -a -P../Tuc LsgQuine.vhdl ghdl -m -P../Tuc LsgQuine ghdl -r LsgQuine # Es werden alle Eingabevektoren ausgegeben, für die der # Ausgabewert eins ist. Diese sind mit denen aus der # Aufgabenstellung zu vergleichen