Lehre.VHDL-Web-Projekte.SynthMux2 (Struktur)


Nachbildung einer If-Elsif-Anweisung durch Multiplexer


  

Ergebnis der Register-Transfer-Synthese

Die drei Multiplexer, die die If-Anweisung beschreibt, werden durch je drei Gatter nachgebildet.

Testrahmen


  

Kommados zum Übersetzen und Ausführen der Simulation


  

Signalverläufe mit GTKWAVE und der Sav-Datei aus dem Archiv


Autor: gkemnitz, Letzte Änderung: 09.04.2024 17:10:43


 TU Clausthal 2020  Impressum