Lehre.VHDL-Web-Projekte.BedVarZuw (Struktur)


Bedingte Variablenzuweisung in einem Abtastprozess


  

Ergebnis der Register-Transfer-Synthese

Die interne Variable, der nur bedingt ein Wert zugewiesen wird, und die beiden Ausgabesignale werden durch Register nachgebildet. Das Register für y0 übernimmt die EXOR-Verknüpfung des gespeicherten Variablenwertes. Die Schaltung zur Bildung des Eingabesignals für das Register von y1 besteht aus einem Multiplexer und einem EXOR-Gatter. Die Multiplexerschaltung gibt für b=0 den Bitvektor a&c und sonst den Bitvektor a&Q weiter.

Testrahmen


  

Kommados zum Übersetzen und Ausführen der Simulation


  

Signalverläufe mit GTKWAVE und der Sav-Datei aus dem Archiv


Autor: gkemnitz, Letzte Änderung: 09.04.2024 17:10:43


 TU Clausthal 2020  Impressum